RECONFIGURABLE FPGA BASED SOFT-CORE PROCESSOR FOR SIMD APPLICATIONS

Authors

  • Maheswari R , School of Computing Science and Engineering, VIT University, Chennai, Tamil Nadu, India.
  • Pattabiraman V , School of Computing Science and Engineering, VIT University, Chennai, Tamil Nadu, India.
  • Sharmila P Department of Electrical and Electronics Engineering, Sri SaiRam Engineering College, Chennai, Tamil Nadu, India

DOI:

https://doi.org/10.22159/ajpcr.2017.v10s1.19632

Keywords:

System on-Chip, Reconfigurable Register File, Soft-core Processor, Bit-stream

Abstract

Objective: The prospective need of SIMD (Single Instruction and Multiple Data) applications like video and image processing in single system requires greater flexibility in computation to deliver high quality real time data. This paper performs an analysis of FPGA (Field Programmable Gate Array) based high performance Reconfigurable OpenRISC1200 (ROR) soft-core processor for SIMD.

Methods: The ROR1200 ensures performance improvement by data level parallelism executing SIMD instruction simultaneously in HPRC (High Performance Reconfigurable Computing) at reduced resource utilization through RRF (Reconfigurable Register File) with multiple core functionalities. This work aims at analyzing the functionality of the reconfigurable architecture, by illustrating the implementation of two different image processing operations such as image convolution and image quality improvement. The MAC (Multiply-Accumulate) unit of ROR1200 used to perform image convolution and execution unit with HPRC is used for image quality improvement.

Result: With parallel execution in multi-core, the proposed processor improves image quality by doubling the frame rate up-to 60 fps (frames per second) with peak power consumption of 400mWatt. Thus the processor gives a significant computational cost of 12ms with a refresh rate of 60Hz and 1.29ns of MAC critical path delay.

Conclusion:This FPGA based processor becomes a feasible solution for portable embedded SIMD based applications which need high performance at reduced power consumptions

Downloads

Download data is not yet available.

References

PavelSinha, AmitabhaSinha, DhrubaBasu, "A Novel architecture of a Re-configurable Parallel DSP Processor", Proc. The 3rd Int'l IEEE Northwest Workshop on Circuits and Systems, June 19-22, Quebec, Canada, 2005, pp. 132-138.

R. D. Wittig and P. Chow, OneChip: An FPGA Processor With Reconfigurable Logicâ€, Proceedings IEEE Symposium on FPGAs for Custom Computing Machine, 1996, pp. 67-74.

Stitt and F. Vahid,, Energy advantages of microprocessor platforms with on-chip configurable logic,†IEEE Design and Test of computers, Vol. 19, No. 6, 2002, pp. 36-43.

Ansari, P. Ryser, and D. Isaacs, (), Accelerated System Performance with APU-enhanced processing,†Xcell Journal, First quarter, 2005, pp. 98-102.

Alaraje, J. DeGroat, and H. Jasani, SoFPGA (System-on-FPGA) architecture: Performance analysis†IEEE International Conference on Electro/Information Technology, 2007, pp. 551 –556.

Haynes, S.D., Stone, J., Cheung, P.Y.K., Luk, W., Video image processing with the Sonic architectureâ€. IEEE Computer ,vol.33, 2000, pp. 50–57.

Horta E. L., Lockwood John W., E. Taylor and David Parlour. Dynamic hardware plugins

in an FPGA with partial run-time reconfiguration. Design Automation Conference, USA, 2012,pp.343-348.

Kalte, H,â€Dynamically reconfigurable system-on-programmable-chip. In: Euromicro Workshop on Parallel, Distributed and Network-based Processing, 2002, pp. 235-242.

Ranganathan, S. Adve and N. Jouppi, Performance of Image and Video Processing with General-purpose Processors and Media ISA Extensionsâ€, To appear in the Proceedings of the 26th International Symposium on computer Architecture, 2010, pp. 78-82.

Nieto, D.L. Vilarino, V.M. Brea, SIMD/MIMD dynamically-reconfigurable architecture for high-performance embedded vision systems†IEEE 23rd International Conference on Application-Specific Systems, Architectures and Processors, 2012, pp. 96-101.

Richard Kleihorst, â€Camera Mote with a High-Performance Parallel Processor for Real-Time Frame-Based Video Processingâ€, IEEE, 2007, pp. 69 -74.

Xilinx Soft Processors, Xilinx Inc., http://www.xilinx.com/microblaze.

Kumar, C., Azam M.S., "A multi-processing architecture for accelerating Haar-based face detection on FPGA , IEEE, 2014 9th International Conference on Industrial and Information Systems (ICIIS), 2014, pp. 1- 5.

SimranRana, Rajesh Mehra, Design & Simulation of RISC Processor using Hyper Pipelining Techniqueâ€, IOSR Journal of Mechanical and Civil Engineering (IOSR-JMCE), Vol. 9, Issue 3, 2014, pp. 49-57.

D. UlagalandhaPerumall, An Efficient Reconfigurable Image Compression Architecture†IEEE - ICSCN, MIT Campus, Anna University, Chennai, India. Feb. 22-24. 2007, pp.265-269.

Diken E., O'Riordan M.J., Jordans R., Jozwiak and Corporaal H, Mixed-length SIMD code generation for VLIW architectures with multiple native vector-widthsâ€, IEEE Conference:Application-specific Systems, Architectures and Processors (ASAP), Toronto, 2015, pp. 181-188.

Gundolf Kiefer, Michael Seider and Michael Schaeferling, ParaNut-An open, scalable and highly parallel processor architecture for FPGA-based systemâ€, Embedded World Conference, Nuremberg, Germany, 2015, pp. 1-7.

Published

01-04-2017

How to Cite

R, M., P. V, and S. P. “RECONFIGURABLE FPGA BASED SOFT-CORE PROCESSOR FOR SIMD APPLICATIONS”. Asian Journal of Pharmaceutical and Clinical Research, vol. 10, no. 13, Apr. 2017, pp. 180-6, doi:10.22159/ajpcr.2017.v10s1.19632.

Issue

Section

Original Article(s)